Sunday 1 October 2017

How to write VHDL Library ?

VLSI Projects in chennai

VHDL Library :

The following are the list of commonly used library files in VHDL language, Depends upon the algorithm requirement these library can be modified.

        library IEEE;
        use IEEE.std_logic_1164.all;
        use IEEE.std_logic_textio.all;
        use IEEE.std_logic_arith.all;
        use IEEE.numeric_bit.all;
        use IEEE.numeric_std.all;
        use IEEE.std_logic_signed.all;
        use IEEE.std_logic_unsigned.all;
        use IEEE.math_real.all;
        use IEEE.math_complex.all;
 
Some of the libraries may be third party library or user defined library. if the code consists of 
complex multiplications which used in FFT, DFT etc then the user should add use IEEE.math_complex.all Library

VHDL EXAMPLE
**************************************************************************************************
LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_TEXTIO.ALL;

**************************************************************************************************

try yourself these codes. More coding techniques will be updated in our next post.

Happy Learning!!

No comments:

Post a Comment

GREETINGS