Monday 24 October 2016

Designing algorithms in VHDL


Algorithms

We always need to specify certain algorithm to run our system in a systematic way where it will not stuck up at some logic or uncleared routines.

Now a days it is vital to write source codes without having such bugs. VHDL provides efficient writing methodologies it follows behavioral programming idea.

Understand how the functional design works, make a algorithm steps, Write down the check out points where you can identify your internal signals

Write proper test Bench to test each modules and routines seperately

VHDL Code to generate a Simple Node based Algorithm is given below.
:Here i shown you how to create a simple network on Chip algorithm used to generate NODE of various conditions.

--Module to define the NODE FLOW

Library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;



entity nodes_gb is
port (clk,clr: in std_logic;
e1,e2,e3,e4,e5 : out std_logic;
nodea,nodeb,nodec,nodee,noded: in std_logic_vector(7 downto 0));
end nodes_gb;

architecture behave of nodes_gb is
    signal en_nodea,en_nodeb,en_nodec,en_noded,en_nodee: std_logic;
    signal n1,n2,n3,n4,n5 : std_logic_vector(3 downto 0); -- NW FLOW
    signal r1,r2,r3,r4,r5 : string(1 to 6);
--signal  nodea,nodeb,nodec,nodee,noded:  std_logic_vector(7 downto 0)    ;
begin      

en_nodea<= (not nodea(3) ) and (not nodea(2) ) and (not nodea(1) ) and ( nodea(0) );
en_nodeb<= (not nodeb(3) ) and (not nodeb(2) ) and (not nodeb(1) ) and ( nodeb(0) );
en_nodec<= (not nodec(3) ) and (not nodec(2) ) and (not nodec(1) ) and ( nodec(0) );
en_noded<= (not noded(3) ) and (not noded(2) ) and (not noded(1) ) and ( noded(0) );
en_nodee<= (not nodee(3) ) and (not nodee(2) ) and (not nodee(1) ) and ( nodee(0) );
   

c2 : process(en_nodea,clr)
begin
    if clr='1' then
        n1<="0000"; 
        r1<="XXXXXX";     
elsif  rising_edge(en_nodea) then
        n1<="1010";  --A
        r1<="NODE-A";       
end if;
end process c2;         


c3 : process(en_nodeb,clr)
begin
    if clr='1' then
        n2<="0000";
        r2<="XXXXXX";                     
elsif  rising_edge(en_nodeb) then
        n2<="1011";  --B
        r2<="NODE-A";     
end if;
end process c3;         


c4 : process(en_nodec,clr)
begin
    if clr='1' then
        n3<="0000";
        r3<="XXXXXX";                                     
elsif  rising_edge(en_nodec) then
        n3<="1100"; -- c
        r3<="NODE-C";             
end if;
end process c4;         


c5 : process(en_noded,clr)
begin
    if clr='1' then
        n4<="0000"; 
        r4<="XXXXXX";                                                   
elsif  rising_edge(en_noded) then
        n4<="1010";  -- D
        r4<="NODE-D";                     
end if;
end process c5; 


c6 : process(en_nodee,clr)
begin
    if clr='1' then
        n5<="0000"; 
        r5<="XXXXXX";                                                                 
elsif  rising_edge(en_nodee) then
        n5<="1010";  -- E
        r5<="NODE-E";                             
end if;
end process c6;        

e1<=en_nodea;
e2<=en_nodeb;
e3<=en_nodec;
e4<=en_noded;
e5<=en_nodee;


end behave;


Sunday 23 October 2016

ME Projects Support PHD Projects

We are also supporting students to complete their VLSI Projects for
ME / PHD etc

Phase 1: During phase 1 implementation we develop VLSI projects complete reference paper with
  • 100% Source Code, 
  • Simulation Results, 
  • Module Diagrams, 
  • Data flow diagrams, 
  • Power results 
  • Technology Results
  • Verification Results
  • Code Document
  • Design Documents etc
PHASE 2: During phase 2 VLSI implementation of the Reference paper is designed with extra modules advancements with 2-3 modules where the simulation is integrated and FPGA Hardware output is given

  • 100% Implemented FPGA Hardware
  • Testing Sheet
  • Result Verification Documents
  • Circuit Diagrams
  • Equiments used Documents 

even more support can be provided with Complete guidance.
WE ALSO SUPPORT STUDENTS to get JOB in VLSI industry
CHENNAI / BANGALORE / PUNE

We support
 WE SUPPORT PART TIME JOBS FOR STUDENTS !!!!!!
SALARY RS 4000/-

vlsi training in chennai, embedded training in chennai, software training in chennai,
vlsi projects in chennai, phd projects in chennai, vlsi job in chennai, vlsi job with placement,
jobs in chennai, job with placement in chennai, job opening in chennai, vlsi bangalore jobs,
freshers job in chennai, opening for freshers in chennai, vlsi course in chennai, courses in chennai for freshers,
it courses in chennai, embedded training for freshers,matlab projects in chennai, best coaching centre in chennai, best training centre in chennai.
best robotic centre in chennai, ieee projects in chennai,mtech projects in chennai, be projets in chennai,btech projects in chennai,qmos technology in chennai,
software companies in chennai,project centres in chennai, me projects for students, me software projects in chennai, me projects in tambaram, kodambakkam, ashok nagar,
kattankolathur, anna university me projects, phd projects guidance in chennai, qmos technologies, project centre in T nagar, blue chip technology related projects, plc technology related projects,
students part time job, robotic projects, zigbee projects, wireless projects, mems projects, hardware projects, mini projects,
dotenet projects in chennai, java projects in chennai, NS2 Projects in chennai, software engineering projectsin chennai,
data minning projects,cryptography projects, design data projects, asp projects, c# projects in chennai, best ieee software projects in chennai,
data analytics projects in chennai,mobile computing projects in chennai,wireless network projects in chennai,

MBA projects in chennai, bcom projects in chennai.
mba internship chennai, mba industrial training chennai,mba hr projects chennai
bba projects chennai, bcom projects chennai, bcom internship chennai,bba internship chennai
mba industrial visit, mba certification
mba training with stiphend chennai, part time joibs in chennai for students



  •  

VLSI students projects CD available. Free !!!! Contact soon

https://youtu.be/-08SXQl3kW8


Free VLSI Projects CD Available

Low But jet  PROJECTS Completed CD available

Contact us for more details

89 39 50 4005

GREETINGS